Design of FPGA Based Neural Network Controller for Earth Station Power System

Hanaa T. El-Madany, Faten H. Fahmy, Ninet M. A. El-Rahman, Hassen T. Dorrah

Abstract


Automation of generating hardware description language code of neural networks models can highly decrease time of implementation those networks into a digital devices, thus significant money savings. To implement the neural network into hardware design, it is required to translate generated model into device structure. VHDL language is used to describe those networks into hardware. VHDL code has been proposed to implement ANNs as well as to present simulation results with floating point arithmetic of the earth station and the satellite power systems using ModelSim® PE 6.6 simulator tool. Integration between MATLAB® and VHDL is used to save execution time of computation. The results shows that a good agreement between MATLAB and VHDL and a fast and flexible feed forward NN which is capable of dealing with floating point arithmetic operations; minimum number of CLB slices; and good speed of performance. FPGA synthesis results are obtained with view RTL schematic and technology schematic from Xilinix tool. Minimum number of utilized resources is obtained by using Xilinix VERTIX5. 


Full Text:

PDF

References


Kalogirou SA. Artificial intelligence in energy and renewable energy systems. Nova Publisher; 2007.

Steven T. Karris. Digital Circuit Analysis and Design with Simulink® Modeling and Introduction to CPLDs and FPGAs. Second Edition, Orchard Publications.

Zainalabedin Navabi, Digital Design and Implementation with Field Programmable Devices, Kluwer Academic Publishers, Boston, 2005.

Janardan Misra , IndranilSaha , "Artificial neural networks in hardware: A survey of two decades of progress", Journal of Neurocomputing, 2010; 74: 239–255.

Alexander Gomperts, Abhisek Ukil, and Franz Zurfluh, "Development and Implementation of Parameterized FPGA-Based General Purpose Neural Networks for Online Applications", IEEE Transactions on Industrial Informatics, 2010.

W. X. Shen. Optimally sizing of solar array and battery in a standalone photovoltaic system in Malaysia. Renewable Energy. 2009; 34: 348-352.

C. Hua, and C. Shen. Study of maximum power tracking techniques and control of DC/DC converters for photovoltaic power system. Proceedings of the 29th Annual IEEE Power Electronics Specialists Conference, 1998.

G.J Yu, Y.S Jung, J.Y Choi, and G.S Kim. A Novel Two-Mode MPPT Control Algorithm Based on Comparative Study of Existing Algorithms. Solar Energy. 2004; 76: 455–463.

Bogdan, S. B. and Salameh, Z. M. 1996. Methodology for optimally sizing the combination of a battery bank and PV array in a wind/PV hybrid system. IEEE Transactions on Energy Conversion. 1996; 11(2): 367-375.

Bin A., Hongxing Y., Shen H., Xianbo L. Computer aided design for PV/Wind hybrid system. Renewable Energy. 2003; 28(10): 1491-1512.

Hansen D., Sorensen P., Hansen H., and Henrik B., Models for a Stand-Alone PV System. Rio-R-1219 (EN)/SEC-R-12, 2000.

Programmable Logic Design Quick Start Handbook, Xilinx, Inc., 2006.

A. Mellit, H. Rezzouk, A. Messai, B. Medjahed. FPGA-based real time implementation of MPPT-controller for photovoltaic Systems. Renewable Energy. 2011; 36: 1652-1661.

Mellit A, Mekki H, Messai A, Salhi H., FPGA-based implementation of an intelligent simulator for stand-alone photovoltaic system. Expert Systems with Applications, 2010; 37(8): 6036-6051.

FPGA Advantage®, Software Version 8.1, Mentor Graphics Corporation 1999-2008.

ModelSim® PE Reference Manual, Software Version 6.6, Mentor Graphics Corporation 1991-2010.

David W. Bishop, VHDL-2008 Support Library, published by EDA Industry Working Groups and Accellera, http://www.vhdl.org/fphdl/, online 2010.

Precision® RTL Synthesis User’s Manual, Release 2008a update 1, September 2008, Mentor Graphics Corporation, 2008.




DOI: http://doi.org/10.12928/telkomnika.v10i2.796

Refbacks

  • There are currently no refbacks.


Creative Commons License
This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License.

TELKOMNIKA Telecommunication, Computing, Electronics and Control
ISSN: 1693-6930, e-ISSN: 2302-9293
Universitas Ahmad Dahlan, 4th Campus
Jl. Ringroad Selatan, Kragilan, Tamanan, Banguntapan, Bantul, Yogyakarta, Indonesia 55191
Phone: +62 (274) 563515, 511830, 379418, 371120
Fax: +62 274 564604

View TELKOMNIKA Stats